AMD Zen 8 Core 95W Summit Ridge CPUs Launching In January 2016 – Already Going Through Validation

Khalid Moammer

AMD Zen 8 core high enthusiast Summit Ridge CPUs are allegedly slated for a January 2016 release on the new AM4 socket. A source close to AMD claims that the eight core Summit Ridge CPU dies have taped out in January and are running through testing and validation right now.

AMD Zen Feature
This is the second major milestone that we've heard about concerning Zen's development The first being the tape out of the Zen core / microarchitecture back in 2015 and the second being the tape out of the eight core Zen based SOC in January. This means that not only has the core design been finalized, but development of the first product chip with Zen cores has been completed. This is the eight core 95W Zen SOC which will be coming to the desktop later in the year. AMD has several other Zen based SOCs - systems on a chip - in development. A 32 Core Zen server CPU, a sixteen core Zen HPC APU and a quadcore Zen consumer APU. All of which should be taped out sometime later this year.

The desktop FX CPUs allegedly feature a 95W TDP and eight high performance Zen cores with multi-threading for a total of 16 threads. Zen is said to have extremely competitive single threaded performance according to engineers with knowledge of the chip. Citing more instructions per clock than Intel's Broadwell and just a smidgen behind Skylake. The 95W TDP if accurate indicates that indeed we're looking at a very power efficient design. In contrast, Intel's eight core i7 5960X is rated at 140 watts but then again we're comparing a 14nm FinFET product with a 22nm chip so a delta is to be expected.

AMD Zen 8 Core 95W Summit Ridge CPUs To Be Released In January 2016

We published an exclusive report last year detailing AMD's plans to introduce its Zen based FX CPUs later this year and Zen based APUs in 2017. We also broke down details about Zen CPUs, APUs, AM4 motherboards and the features of AMD's next generation platform which haven't been made public yet. So we would highly recommend that you go check out that report if you're interested in finding out more about Zen.

Suffice to say all the leaks and whispers we've seen and heard thus far about AMD Zen CPUs on the desktop indicate that the chips will launch at CES next year. Although I should still point out that AMD's official word is still "late 2016" without any specificity. Despite the growing number of credible leaks which have consistently pointed towards a CES launch. Until AMD makes an official announcement, all of its plans are subject to change.

Desktop Zen CPUs are part of the "Summit Ridge" family. Which is going to include a full lineup of high-end Zen based CPUs that will feature "high core counts" and compete in the "enthusiast" segment according to AMD. That is, they're going to be lined-up to compete against Intel's Haswell-E and Broadwell-E products.

amd-x86-zen-core
amd-zen-core-ipc-2
amd-zen-summit-ridge-cpus-2

AMD Zen Desktop CPUs Are Shaping Up To Be Everything That Enthusiasts Have Been Asking For

Zen has been one of the most hotly anticipated AMD products in a decade. It's the company's first attempt to compete at the high-end CPU space in five years. In many ways Zen also represents the company's first truly innovative next generation architecture that's also on process node parity with Intel since the Athlon days. What has lend the tech community even more optimism is that Zen harkens back to AMD's glorified Athlon days in another even more crucial aspect. Zen is a brand new clean-slate design that's been led from the get-go by accomplished CPU architect Jim Keller. The very same person that brought us the original Athlon XP and Athlon64 processors. AMD's most successful products ever.

We saw this optimism reflected at CES by AMD's CEO.

"The overall expectation is that AMD will be a better year from a financial standpoint compared to 2015,”
Su went on to state that she has full confidence that by CES next year everyone will say "AMD is back!".

Up until May of last year very little was known about AMD's next generation high performance, high IPC, 14nm CPU core. However, we've learned so much about it since then through a consecutive set of Linux Kernel patches and leaks. Which have been very instrumental in helping us understand the inner workings of Zen's micro-architecture and what it's capable of.

Back when AMD announced Zen for the very first time, publicly, at its Financial Analyst Day last year, the company touted a 40% improvement in instructions per clock versus its latest high performance core Excavator. However, during last quarter's earnings conference call President and CEO of the company Lisa Su announced that Zen is performing beyond initial expectations and that engineers have managed to achieve a greater than 40% IPC improvement.

AMD Preisdent & CEO Lisa Su - Q4 2015 AMD Earnings Call Transcript
Our Zen-based CPU development is on track to achieve greater than 40% IPC uplift from our previous generation and we're on schedule to sample later this year.

In client computing, our opportunities to regain share in 2016 will be driven by our design win momentum, continued progress expanding into
the commercial market, and reentering the high-performance desktop market late in the year with our Zen-based Summit Ridge CPU.

AMD announced that later this year it will be introducing an entirely new line-up of FX CPUs and a brand new platform ‘AM4″. These new FX CPUs are what AMD is referring to as “Summit Ridge”. The new platform will include a new socket with DDR4 memory support. AM4 will also support upcoming Bristol Ridge APUs. This is in effort to unify all of APU & CPU desktop platforms under one roof instead of the current bifurcation between AM3+ and FM2+.

The AIDA64 benchmark added support to Zen based Summit Ridge as well as Raven Ridge FX CPUs and APUs last year. Raven Ridge will succeed Bristol Ridge and will be the first generation of APUs to feature Zen, while Bristrol Ridge will be a refresh based on the 28nm Excavator core. Several leaks have also revealed that AMD is working on several Zen APUs with large discrete class built-in GPUs and high bandwidth memory.

On the server side AMD is preparing Zen based CPUs with up to 32 cores and 64 threads. That's four times as many threads as AMD's current highest end server offering. A very significant jump that's going to play a crucial role in giving Zen the competitive edge it needs in servers. A market that Intel has virtually monopolized with 97% market share.

AMD Zen FX CPUs Will Launch On A Modern, More Comprehensive, Feature Packed Platform

In our exclusive report we published last year we shared the details that have been made available to us about AMD's Summit Ridge processors coming later this year. For starts Summit Ridge CPUs will include models with eight, six and four Zen cores. Four cores being the lowest core count Summit Ridge CPU AMD is going to sell. All CPUs will include the company's new platform security processor, PCIe 3.0 support, dual channel DDR4 memory controllers, copious amounts of L3 cache and updated storage features.

AMD Data Center Roadmap Opteron Zen HPC APU

AMD's plan, our sources tell us, is to price high core count parts very competitively. Undercutting Intel's mainstream CPUs by offering more cores with competitive - Broadwell level - single threaded performance at Intel's mainstream i5 and quadcore i7 price points. Essentially offering Haswell-E / Broadwell-E comparable parts in the mainstream $400-$150 segment. A space that's currently occupied by Intel's mainstream quadcore I7, i5 and dual core i3 CPUs .

This is possible because we're told the Zen core itself is very area and power efficient. So AMD can build high core count chips that are still small, with good yields and at reasonable cost. Imagine an eight core Haswell-E equivalent chip the size of a Carrizo APU. We're set to see a CPU price war take place later this year like we haven't for nearly a decade.

AMD Next Gen CPU Families:

WCCFTechAMD Raven RidgeAMD Summit RidgeAMD Bristol RidgeAMD FX "8000 Series"AMD A-Series "7000/8000-Series"
Product SegmentMainstream Desktop and Mobility APUPerformance Desktop Processors "FX"Mainstream Desktop and Mobility APUPerformance Desktop Processors "FX"Mainstream Processors "Kaveri/Godavari"
Product Architecturex86 Zenx86 Zenx86 Excavatorx86 Bulldozer/Piledriverx86 Steamroller
Process Node14nm14nm28nm32nm28nm
Max CPU CoresTBATBATBA84
GPU ArchitectureGCN 4.0N/AGCN 3.0N/AGCN 2.0
TDPTBATBATBA125-220W95W
SocketAM4AM4AM4AM3+FM2+
South BridgePromontory/SOCPromontoryPromontory/SOC990FXA88X
Memory SupportDDR4/HBMDDR4DDR4DDR3DDR3
Launch2017Q4 20161H 20162011-20162013-2016

The Zen Microarchitecture

14nm FinFET Process

Samsung's 14nm FinFET process which Globalfoundries has licensed is going to be the basis of all of AMD's next generation CPU, APU and GPU products.  The company's Chief Technology Officer Mark Papermaster made the announcement last year that the 14LPP process - Samsung's high performance 14nm process - will be leveraged across all of AMD's future products.

Mark Papermaster
FinFET technology is expected to play a critical foundational role across multiple AMD product lines, starting in 2016, GLOBALFOUNDRIES has worked tirelessly to reach this key milestone on its 14LPP process. We look forward to GLOBALFOUNDRIES’ continued progress towards full production readiness and expect to leverage the advanced 14LPP  process technology across a broad set of our CPU, APU, and GPU products. – Press Release

The 14LPP process features 3D finfet transistors and significantly smaller gates compared to 28nm. Chips manufactured on the 14LPP process have more than double the density of 28nm. Additionally, 14nm FinFET transistors are capable of significantly faster switching speeds. Products designed for the process will be able to achieve much higher frequencies than the same designs on 28nm. The process also delivers considerable power savings, essentially cutting power consumption by half.

14nm FinFET Technology

Globalfoundries.com
14LPE – Early time-to-market version with area and power benefits for mobility applications

14LPP – Enhanced version with higher performance and lower power; a full platform offering with MPW, IP enablement and wide application coverage

The High-Level Design Of AMD's Zen Core

AMD's Linux Kernel patches which have been a rich source of information about Zen. The patches revealed so much about the inner-workings of Zen to the point where we are actually able to visualize the high-level design of the core. If you're interested in all the nitty gritty details about what the core is capable of I'd highly recommend our exclusive in-depth analysis of Zen's micrarchitecture.

AMD Zen Steamroller Block DiagramWccftech.com Rendition Of Zen’s High-Level Design Based On The AMD Linux Kernel Patch

Above you can see a visual representation of Zen on the right in comparison to AMD's Steamroller CPU core. Which is the third generation based on the Bulldozer design and is pretty much identical from a high-level standpoint to Excavator, the fourth and last Bulldozer based core. There are several key differences between Zen and the bulldozer family.

AMD has done away with the CMT - clustered multi-threading - concept in favor of a more traditional SMT - sumultaneous multi-threading - design. This means that each Zen core will be able to execute two threads simultaneously. One main, very high throughput thread and one secondary thread that can be used opportunistically.

In contrast, each Bulldozer module can execute two equal threads. This is achieved through two separate integer clusters with a single front-end. This approach saves area versus building two separate cores and delivers two high throughput threads. However, there are advantages that Zen's SMT implementation holds over the Bulldozer CMT implementation. For one it allows AMD to build a single larger integer cluster with significantly higher single threaded performance. Another advantage with this approach is that it still leaves room for opportunistic savings in area and power.

The final result is similar overall throughput when we look at both treads of each SMT core vs both threads in each CMT core. However, the SMT style core will deliver significantly higher single threaded performance. Furthermore, because each Bulldozer module houses two integer clusters and a single floating point unit it was always very integer heavy. Each Zen core on the other hand includes one large integer cluster and one large floating point unit, so it's a much more balanced design.

CPU MicroarchitectureAMD Phenom II / K10AMD BD/PDAMD SR/XVAMD ZenIntel Skylake
Instruction Decode Width3-wide4-wide8-wide4-wide4-wide
Single Core Peak Decode Rate3 instructions4 instructions8 instructions4 instructions4 instructions
Dual Core Peak Decode Rate6 instructions4 instructions8 instructions8 instructions8 instructions

A lot of the engineering effort around Zen has also been done to address one of Bulldozer's major flaws. Bulldozer and Intel's Sandy Bridge - and subsqeuent Intel architectures including Skylake - had equally deep pipelines to achieve high clock speeds. The deeper the pipeline the more latency that design will exhibit. Particularly when it comes to branch misprediction errors, which are quite common in modern pipelines.

The latency that results from branch mispredicts are quite significant. To combat this issue Intel introduced a micro-op cache with Sandy Bridge. It worked to considerably reduce mispredict penalties and it was the principle reason why Sandy Bridge had much better single threaded performance as opposed to Bulldozer. The latest Linux Kernal patch as well as a group of AMD patents indicate that the company has implemented a similar solution in Zen.

Matthias Waldhauer
A lot of the new functionality has been filed for patenting. For example there was a mention of checkpointing, which is good for quick reversion of mispredicted branches and other reasons for restarting the pipelines. Some patents suggest, that Zen might use some slightly modified Excavator branch prediction.

Bringing this to a close, it's clear that AMD's is doing a lot of things right with Zen. Pushing IPC and power efficiency to where they need to be. Building a comprehensive modern platform and bringing much needed updates to the feature-set. Creating an attractive value proposition for desktop users, servers and notebooks. All the ingredients to make Zen a success are here, all that's left is for AMD to execute and deliver. The mere prospect that enthusiasts may actually have AMD CPUs as a worthwile option again for the first time in a decade come early next year is refreshing. And maybe, just maybe, we'll finally be able to say "AMD's back".

Share this story

Deal of the Day

Comments